EYE on MARKET vol.9

Supporting the Expansion of Information Technology

-The hottest semiconductor production equipment on the market-

Supporting the Expansion of Information Technology

Semiconductors as the foundation of industry and society Supported by semiconductor production equipment

Japan was once the world’s top producer of semiconductors

The majority of Japan-made semi­con­ductors in the 1980s were DRAM, which accounted for 50 % of the world’s share. However, following economic conflict between the United States and Japan over trade in semiconductors*1, Japan delayed shifting to logic semiconductors and surrendered the leading seat to manufacturers in other countries such as Intel Corporation (United States), TSMC (Taiwan), and Samsung Electronics Co., Ltd. (Korea) (Fig.1).

Japan has the world’s largest number of semiconductor production sites

Semiconductors as the foundation of industry and society Supported by semiconductor production equipment
[Fig.1 World’s largest number of semiconductor production bases established in Japan]
Source: Map created by Mitsubishi Materials Corporation based on the METI Semiconductor Strategy data

Japan currently produces logic and power semiconductors called legacy semiconductors whose line width is between 28 and 130 nm*2 although current production sites were reorga­nized through international alliances, etc. 

In terms of leading-edge semicon­ductors, production of high-end circuit patterns with line widths of 5 to 16 nm for smartphones, DC*3, and 5G have rapidly grown due to global digitalization. Demand for middle-range semicon­ductors with line widths of from 20 to 40 nm has also expanded globally due to the increase in production of auto­mobiles, industrial machinery and home appliances. This has the effect of accelerating technical innovation and the enhancement of production capa­bility through national strategies for the stable supply of semiconductors. Japan has also implemented measures that prioritize semiconductor industries to compensate for the last 30 years.

[Fig.2 Power Semiconductors / Logic / Memory]

Semiconductor production equipment (SPE) essential for the manufacture of leading-edge semiconductors

While the production of semiconductors has been dominated by countries over­seas, Japanese semiconductor produc­tion equipment (SPE) has maintained world-class quality through the accu­mulation of technological improvement and has been employed as the essential component for high-end ultra-precision semiconductor manufacture with pro­duction exceeding 30 % of the global share. The Semiconductor Equipment Association of Japan (SEAJ) predicted that SPE sales in FY2021 will mark a record high exceeding 3.3 trillion yen, an increase of 40 % from the previous year. This shows that Japan-made semiconductors have extremely high quality.

*Note 1: In the 1980s, the United States complained that prices of semiconductors made in Japan violated anti-dumping laws. In September 1986, the U.S.-Japan Semiconductor Agreement was signed to address the issue.
*Note 2: 1 nm equals 1 / 1 billionth of a meter.
*Note 3: The Data Center specializes in the operation of a wide variety of computers and devices for data communication.

Semiconductor manufacturing process (Front-end Process) and equipment

Semiconductor manufacturing is largely classified into the front-end process, in which circuits are formed on the surface of a wafer, and the back-end process, which include cutting of the wafer into chips, then inspection and packaging.

In the front-end process, similar to the principles of photography, the circuit pattern is projected onto the wafer using lithography technology and insulators and semiconductors are partially processed through repeated etching and deposition. Improving the yield rate is important for compe­ti­tiveness, and this requires high-clean environment and ultra-precision and high-performance operations, therefore highly reliable Japanese semiconductor production and inspection equipment are broadly used (Fig. 3).

Semiconductor manufacturing process (Front-end Process)  and equipment
[Fig. 3 Semiconductor manufacturing (Front-end Process) and equipment]
Source: Created by Mitsubishi Materials Corporation with permission from Tokyo Electron Ltd. to use materials posted on their official website. (https://www/tel.co.jp/)

Coating equipment (global share: 90 %), CVD equipment (global share: 30 %), and etching equipment (global share: 30 %) in particular show the technical capability of Japanese made equipment. A large number of chemical agents are used in vacuum in the front-end process because they must be highly heat and corrosion resistant.

Parts are made of a wide range of materials, from general materials such as aluminium alloy, stainless steel and FCD through to Inconel, Kovar and other difficult-to-cut materials such as ceramics, single crystal silicon, silicon carbide, quartz glass and other hard brittle materials.

Product line-up responding to a wide variety of work materials

Mitsubishi Materials has a wide variety of products designed to machine a broad range of applications using accumulated materials and forming technology. The MP9 insert series for difficult-to-cut materials, the AXD cutter series for aluminium alloy and difficult-to-cut material machining, the DC drill for hard brittle material machining and the DF solid end mill series in particular have been highly regarded by a wide range of customers. We continue to deliver a product line-up that achieves high-performance and high-efficiency machining of aluminium and other non-ferrous metals and difficult-to-cut materials.

Product Line-ups

Product Line-ups

Speed and innovation

Sales of Japanese semiconductor pro­duction equipment is predicted to con­tinue breaking records every year until FY2023 (Fig. 4). In addition, the Japanese government decided to support entice­ment of TSMC for the manufacture of leading-edge semiconductors (22-28 nm) and construction of new plants in Kumamoto. The government also decided to support the repair and expansion of legacy semiconductor manufacturing bases. Government support for semiconductor manufac­turing that prioritizes economic security serves as a tailwind that expands the demand for semiconductor production equipment.

Japan-made semiconductor production equipment sales prediction between FY2021 and 2023 (Revision)

Speed and innovation
[Fig 4. Japan-made Semiconductor Production Equipment Sales Prediction between FY2021 and 2023]
*Source: Data released in SEAJ Newspaper / Graph is created by Mitsubishi Materials Corporation

Mitsubishi Materials manufactures production equipment parts and materials such as silicon products and plating solutions for the back-end process and can promptly respond to requests from equipment manufac­turers.

In other words, Mitsubishi Materials is the only manufacturer in the world capable of not only commer­cializing parts and materials, but also providing machining solutions by syn­chronizing machining technology from the research and development stage for new materials.

From now onwards, we need to advance technical innovation, including mini­mizing the line width of semiconductor production equipment (5 nm or smaller), and by responding to carbon neutral and saving energy to keep pace with the development of increasingly difficult-to-cut materials.

Mitsubishi Materials fully exercise company-wide synergy and use our world-wide networks for crucial busi­ness growth of equipment manufac­turers and parts processing companies, focusing on speed and innovation.